Канадский гимн пока следует петь по-старому

    Канадцы (по крайней мере, пока) не должны в английском варианте своего гимна переходить с “сыновей” в строчке ‘in all of thy sons command’ на гендерно-нейтральное ‘in all of us command’.

    Несмотря на то, что частное предложение ныне покойного депутата федерального парламента Мориля Беланже прошло голосование в палате Общин, оно было встречено в штыки консервативными сенаторами верхней палаты. Они сумели отстоять традиционную строчку гимна, которая вновь будет теперь рассмотрена парламентом, но уже не ранее осени.

    Да и осенью не гарантировано, что повторное голосование в палате Общин приведет к изменениям.

    Слова “О, Канада” менялись неоднократно с тех пор, когда их сочинил в 1908 году Роберт Стэнли Уир, создавший английскую версию. Официально гимном эта песнь стала лишь в 1980.

    Некоторые сенаторы были возмущены тем, что никак нельзя сохранить сколь-нибудь долго хотя бы какую-то традицию, а сторонники изменений указывали на “гендерное неравенство” в словах гимна.

    Posted in Политика

    Leave a Reply

    Your email address will not be published. Required fields are marked *

    *

    Наши Проекты

    Новости по месяцам

    Новые комментарии

      1
    • Monday, 22 Apr 2024 - 20:40Dmitry
      БИЛЕТ LOTTO MAX, ВЫИГРАВШИЙ...
      Доброе утро. Хотелось бы в этой группе найти единомышленников, подбирающих выигрышные числовые комбинации в лотерею «Lotto Max», начиная с 2009 ...
    • 2
    • Wednesday, 10 Jan 2024 - 23:06Julie
      Banquet Hall at Earl...
      Hello,I would like to inquire about the banquet hall for rent on April 12,2024.How much is the rent.
    • 3
    • Saturday, 6 Jan 2024 - 18:44Indi
      ПАКИСТАНЦА, ОБВИНЯЕМОГО В ПОДГОТОВКЕ...
      Сунниты это не игил. Но именно суниты не нравятся всем, потому что их боятся. Не пойму одного, почему боятся) такие ...
    • Older »